Главный-ведомый J-K триггер не имеет выхода

#verilog #xilinx #edaplayground

Вопрос:

Я написал код тестового стенда и код проектирования для ведущего-ведомого JK-триггера, но вывод не приходит. Пожалуйста, укажите на ошибку.

Испытание bench.sv

 module JK_ff_tb;
 
reg clk;
reg reset;
reg j,k;
 
wire q;
wire qb;
 
jk_flip_flop_master_slave jkflipflop( .clk(clk), .reset(reset), .j(j), .k(k), .q(q), .q_bar(qb) );
 
initial begin
  $dumpfile("dump.vcd"); $dumpvars;
$monitor(clk,j,k,q,qb,reset);
 
j = 1'b0;
k = 1'b0;
reset = 1;
clk=1;
 
#10
reset=0;
j=1'b1;
k=1'b0;
 
#100
reset=0;
j=1'b0;
k=1'b1;
 
#100
reset=0;
j=1'b1;
k=1'b1;
 
#100
reset=0;
j=1'b0;
k=1'b0;
 
#100
reset=1;
j=1'b1;
k=1'b0;
 
end
always #25 clk <= ~clk;
 
endmodule
 

Design.sv

 // Code your design here
module jk_flip_flop_master_slave(j,k,clk,reset,q,q_bar);
input j,k,clk,reset;
output q,q_bar;
 

reg q,q_bar; // Active low reset signal.
   
   wire   MQ;  // The master's Q output.
   wire   MQn; // The master's Qn output.
   wire   Cn;  // The clock input to the slave shall be the                   complement of the master's.
   wire   J1;  
   wire   K1;  
   wire   J2;  // The actual input to the first SR latch (S).
   wire   K2;  // The actual input to the first SR latch (R).

   assign J2 = !reset ? 0 : J1;  // Upon reset force J2 = 0
   assign K2 = !reset ? 1 : K1;  // Upon reset force K2 = 1
   
  and(J1, j, q_bar);
  and(K1, k, q);   
  not(Cn, clk);   
  sr_latch_gated master(MQ, MQn, clk, J2, K2);
  sr_latch_gated slave(q, q_bar, Cn, MQ, MQn);   
endmodule // jk_flip_flop_master_slave
 

Модуль триггера Sr_Latched

 module sr_latch_gated(Q, Qn, G, S, R);
   output Q;
   output Qn;
   input  G;   
   input  S;
   input  R;
   
   wire   S1;
   wire   R1;
   
   and(S1, G, S);
   and(R1, G, R);   
   nor(Qn, S1, Q);
   nor(Q, R1, Qn);
endmodule // sr_latch_gated
 

Я закодировал все это в EDA-playground.

Сгенерированная диаграмма также была действительно резкой. Если есть другая логика, которую можно легко реализовать, скажите.

Ответ №1:

Я получаю ошибки компиляции на 2 разных симуляторах. Вы не должны объявлять q и q_bar как reg в jk_flip_flop_master_slave модуле. Вам следует удалить эту строку:

 reg q,q_bar; // Active low reset signal.
 

Затем он компилируется и моделируется для меня. Я вижу этот вывод:

 100xx1
110xx0
010010
110010
010010
110010
101010
001010
101010
001010
...